CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 加 减 乘 除

搜索资源列表

  1. UseMatrixArrayMul

    0下载:
  2. 一个矩阵四则运算程序. 主要实现两个矩阵的 加,减,乘,除运算-a matrix 4 Operational procedures. Two main matrix, plus or minus, multiplication and Operational
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1372
    • 提供者:陈健
  1. 我的远代码

    0下载:
  2. 汇编原代码,能够实现加,减,乘,除,等功能, 对于出学者,是很有帮助的,所以 一定要看看呀-compilation of the original code can be achieved, plus or minus, multiplication and addition, the function for up academics, is very helpful and I must look at the ah
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1851
    • 提供者:严岩
  1. yunsuan

    0下载:
  2. 简易的计算器,能进行加,减,乘,除等简单的运算!-simple calculator, capable of addition, subtraction, multiplication and simple arithmetic!
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:16779
    • 提供者:赵伟
  1. Bigc

    0下载:
  2. 密码学中的大数运算算法,可以实现加,减乘,除,模以及模逆运算.-cryptography algorithm for computing large numbers can be achieved, plus or minus x, except Die and Mold inverse operation.
  3. 所属分类:组合框控件

    • 发布日期:2008-10-13
    • 文件大小:55573
    • 提供者:黄国超
  1. JSQASM

    0下载:
  2. 用汇编语言写成的复数运算器,包括了 复数的加/减/乘/除/模/辐角-compilation of language used in the plural computing devices, including the plural of plus / minus / x / addition / D / Angular
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:39780
    • 提供者:卢青波
  1. C%=(A÷B)×100%

    0下载:
  2. 用来进行烦琐数据的加,减,乘,除的运算操作,得出运算值
  3. 所属分类:Delphi控件源码

    • 发布日期:2008-10-13
    • 文件大小:170441
    • 提供者:
  1. C51-clock

    1下载:
  2. C51单片机实现液晶秒表以及简单计算器 电路是一个51最小系统,没有采用总线方式,而简单的连线方式,上有4*4矩阵键盘,一数码管,89S51单片机,串口下载线,数码管显示锁存芯片\\蜂鸣器\\1602液晶. 代码已经调试成功过,可以实现时间的调整.4*4矩阵键盘,第一排前三列是实现小时\\分钟\\秒的加.第二排前三列是实现小时\\分钟\\秒的减.当然还可以通过设置矩阵键盘的键值,来实现加\\减\\乘\\除四则运算,由数码管显示参与运算的数字以及最终的运算结果.-C51
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2501
    • 提供者:王永
  1. XIUGAI2

    0下载:
  2. 计算器实现数字的加.减.乘.除等基本运算功能-increases. Cut. X. In addition to the basic computing
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2537
    • 提供者:吴峰心
  1. mini_calculator

    0下载:
  2. JAVA写计算器程序,有点像Windows的计算器 可以实现加.减.乘.除.平方.开方等运算 是我们课程设计时用的一个
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:2771
    • 提供者:erica
  1. SQCX_WZ

    0下载:
  2. 下面这个程序支持加+ 减- 乘* 除/ 阶乘! 开方? 平方^ 取模% 等运算 支持括号和括号内优先级 可以输入负数 不过输入负数时需要用括号括上 这个程序 一定有不少 缺点 我也没有用一些表达式 进行运算验证 这个验证的过程就交给大家把 如果各位发现输入的表达式 计算错误的话 请将表达式和结果 发到帖子上来 我会修改的
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:1904
    • 提供者:Au
  1. vhdl_123

    0下载:
  2. 几个简单的vhdl程序。包括加法器,减法器,乘除法等等。-A few simple vhdl program. Including the adder, subtractor, multiplication and division and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4390710
    • 提供者:fugen
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. calculator

    0下载:
  2. VHDL编写计算器,功能包括:加,减,乘,除。通过keypad输入及输出-Calculator written with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:314504
    • 提供者:hodog
  1. cpu2

    0下载:
  2. 另一个简单的16位VHDL的CPU程序~~~包含简单的加减乘除移位等操作,适用于课程设计-Another simple VHDL' s CPU 16-bit program ~ ~ ~ contains simple calculation shift and other operations for course design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1862685
    • 提供者:liuying
  1. VHDL

    0下载:
  2. 计算器,可实现加减乘除运算并包含数码显示与输入部分。-Calculators, multiplication and division addition and subtraction operations can be realized and includes digital display and input section.
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:9260
    • 提供者:寄尘
  1. large

    0下载:
  2. 大数的加减乘除运算 ,完成上百位数的加减乘除-Addition and subtraction, multiplication and division of large numbers computing
  3. 所属分类:Algorithm

    • 发布日期:2017-04-14
    • 文件大小:3299
    • 提供者:王飞
  1. RandomInt

    0下载:
  2. 大数四则运算,实现加减乘除以及模运算,c++语言实验,比较实用-big number algorithm,add,minus,multiple and mod
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-30
    • 文件大小:273096
    • 提供者: 李好
  1. Bigint

    0下载:
  2. 大数的高精度加减乘除(位数较多)-bigint
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:517728
    • 提供者:金辉
  1. 5744114893829

    0下载:
  2. 用VHDL实现16位的简单CPU。具有加减乘除等功能-vhdl cpu can do add sub and so on
  3. 所属分类:assembly language

    • 发布日期:2017-05-10
    • 文件大小:2227551
    • 提供者:罗小家
  1. HugeNumArithm

    0下载:
  2. 1024位大数的运算,包括加减乘除等运算,定义了一个类,对运算符进行了重载-1024 large numbers of operations, including addition, subtraction and other operations, define a class of operators were overloaded
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:408507
    • 提供者:hujif
« 12 3 4 5 6 7 8 9 10 ... 39 »
搜珍网 www.dssz.com